仪器社区

模拟电路设计:温度控制器,求电路图

sunhu137314457 2013-07-13
设计一块电路,要求当温度找过32度时,红灯亮,温度低于27度时,绿灯亮... 设计一块电路,要求当温度找过32度时,红灯亮,温度低于27度时,绿灯亮
评论
全部评论
2009_moon
用一个混联电路

用两个热敏电阻分别与两个灯串联,然后把这两个电路并联起来,然后再将并联的电路与一个定值电阻串联起来,再接上开关和电源就可以了
10 0 2013-07-14 0条评论 回复
您可能感兴趣的社区主题
加载中...
发布 评论